35 4-bit badge ALU

35 : 4-bit badge ALU

Select Project123456789ONSW2
  • Author: Rolf Widenfelt
  • Description: A 4-bit ALU inspired by Supercon.6 badge
  • GitHub repository
  • Clock: 0 Hz

How it works

finite state machine with combinational logic (in verilog)

How to test

cocotb

IO

#InputOutput
0clk
1rst
2ctl
3cout
4datain3alu3
5datain2alu2
6datain1alu1
7datain0alu0